VHDL:符号乘法器

author-image

作者

此示例介绍了 VHDL 中的 8 位符号乘法器设计。合成工具会检测 HDL 代码中的乘法器设计,并推理 lpm_mult 宏功能。

图 1.符号乘法器顶层图表。

下载本示例中使用的文件:

该设计的使用受英特尔® 设计示例许可协议中条款和条件的管理和约束。