Intel® Agilex™通用I/O和LVDS SERDES用户指南

ID 683780
日期 12/16/2019
Public
文档目录

2.3.2.5.3. 全速率或半速率DDIO输出寄存器

输出侧的全速率或半速率DDIO输出寄存器是相同的。
表 22.  DDIO输出寄存器.sdc命令示例
命令 命令示例 说明
create_clock and create_generated_clock

create_clock -name ddio_out_fr_clk -period "200 MHz" ddio_out_fr_clk

create_generated_clock -source ddio_out_fr_clk -name ddio_out_fr_outclk ddio_out_fr_outclk

生成DDI的时钟以及发送的时钟。
set_output_delay

set_output_delay -clock ddio_out_fr_outclk 0.55 ddio_out_fr_data

set_output_delay -add_delay -clock_fall -clock ddio_out_fr_outclk 0.55 ddio_out_fr_data

指示Timing Analyzer对比输出时钟进行正负数据的分析。
set_false_path

set_false_path -rise_from ddio_out_fr_clk -fall_to ddio_out_fr_outclk

set_false_path -fall_from ddio_out_fr_clk -rise_to ddio_out_fr_outclk

指示Timing Analyzer根据输出时钟的下降沿来忽略源时钟的上升沿,以及根据输出时钟的上升沿忽略源时钟的下降沿。