JESD204B Intel Stratix 10 FPGA IP设计实例用户指南

ID 683758
日期 1/07/2021
Public

1.2.7. 设计实例文件

设计实例有两个流程:仿真和综合。

表 17.  设计实例流程和目录
设计实例流程 目录
仿真 <your project>/ed_sim
综合 <your project>/ed_synth

下表列出用于仿真和综合的重要文件夹和文件。

表 18.  用于仿真的设计实例文件
文件类型 文件/文件夹 说明
运行脚本文件 /testbench/aldec/run_tb_top.tcl Riviera-PRO* 仿真器的TCL运行脚本
/testbench/cadence/run_tb_top.sh NCSim仿真器的Shell运行脚本
/testbench/mentor/run_tb_top.tcl ModelSim* 仿真器的TCL运行脚本
/testbench/synopsys/vcs/run_tb_top.sh VCS* 仿真器的Shell运行脚本
/testbench/synopsys/vcsmx/run_tb_top.sh VCS* MX仿真器的Shell运行脚本
/testbench/xcelium/run_tb_top.sh Xcelium*仿真器的Shell运行脚本
源文件 /testbench/models/altjesd_ed_qsys_<data path>.qsys 顶层Platform Designer系统工程
/testbench/models/altjesd_ss_<data path>.qsys JESD204B子系统Platform Designer系统工程
/testbench/models/ip/ 该文件夹包含已例化的IP模块的IP文件夹
/testbench/models/altera_jesd204_ed_<data path>.sv 顶层HDL
/testbench/models/tb_top.sv 顶层测试台
/testbench/spi_mosi_oe.v 输出缓冲HDL
/testbench/switch_debouncer.v 开关去抖器RTL
/testbench/pattern/ 该文件夹包含测试码型生成器和检查器HDL
/testbench/transport_layer 包含装配模块和拆卸模块HDL的文件夹。
表 19.  用于综合的设计实例文件
文件类型 文件/文件夹 说明
Intel® Quartus® Prime工程文件 altera_jesd204_ed_<data path>.qpf Intel® Quartus® Prime工程文件
altera_jesd204_ed_<data path>.qsf Intel® Quartus® Prime设置文件
源文件 altera_jesd204_ed_<data path>.sv 顶层HDL
altera_jesd204_ed_<data path>.sdc 包含所有时序/布局约束的 Synopsys* 设计约束(SDC)文件
transport_layer/ 包含装配模块和拆卸模块HDL的文件夹。
pattern/ 该文件夹包含测试码型生成器和检查器HDL
spi_mosi_oe.v 输出缓冲HDL
switch_debouncer.v 开关去抖器RTL
altjesd_ed_qsys_<data path>.qsys 顶层Platform Designer系统工程
altjesd_ss_<data path>.qsys JESD204B子系统Platform Designer系统工程