Intel® Arria® 10收发器PHY用户指南

ID 683617
日期 11/06/2017
Public

本文档可提供新的版本。客户应 单击此处 前往查看最新版本。

文档目录

6.4. 多种重配置设置档

可以选择性地在相同的Native PHY IP和/或ATX PLL IP core Parameter Editors中使能多种配置或设置档来执行动态重配置。 它支持IP Parameter Editor创建、存储和分析多种配置或设置档的参数设置。

使能多种重配置设置档功能时,Native PHY和/或ATX PLL IP内核可以生成配置文件用于格式所需(SystemVerilog封装、MIF或C头文件)的所有设置档。该配置文件位于IP实例的<IP instance name>\altera_xcvr_<IP type>_a10_<quartus version>\synth\reconfig子文件夹中,其中配置设置档索引添加到文件名。例如:Profile 0的配置文件被存储在<filename_CFG0.sv> Intel® Quartus® Prime Timing Analyzer TimeQuest Timing Analyzer基于初始和采用的设置档,包括了所有配置需要的时序通路。对于多种配置设置档之间有所不同的属性也可以生成简化的配置文件。Native PHY/ATX PLL IP内核的每个实例每次可以创建多达8种重配置设置档(Profile 0到Profile 7)。

在Transceiver Native PHY IP参数逻辑器的Dynamic Reconfiguration选项卡中使能功能Include PMA Analog settings in configuration files,就可以选择性地支持Native PHY IP内核将PMA模拟设置包含在配置文件中。该功能在默认情况下是禁用的。使能该功能,将Native PHY IP参数逻辑器的Analog PMA settings (Optional)选项卡指定的PMA模拟设置添加到配置文件。即使在Native PHY IP参数逻辑器中使能了该选项,编译静态设计时,还是要对模拟设置指定QSF约束。Native PHY IP参数逻辑器所选的模拟设置仅用于包括所选配置文件中的这些设置以及它们的相关设置。要了解有关模拟设置的QSF约束的详细信息,请参考模拟参数设置章节。

对通过IP指导重配置流程和使能了多个重配置设置档执行动态重配置的完整列表,请参考执行动态重配置的步骤

Quartus Prime Timing Analyzer将只会包括所有配置档所需要的PCS时序通路。要执行PMA重配置,例如:TX PLL切换、CGB分频器切换或者参考时钟切换,必须使用执行动态重配置的步骤所介绍的流程。要了解关于使能多种设置档以及运行时序分析的详细信息,请参考时序收敛建议

可以在不使用嵌入式重配置流光器功能的情况下来使用多种重配置设置档功能。如果使用多种重配置设置档功能本身,当从一个设置档移到另一个设置档时,必须通过用户逻辑,针对设置档之间的所有不同进行重配置。

注: 必须确保Native PHY IP和ATX PLL IP Parameter Editor中的所有设置档没有错误信息,否则,IP生成将会失败。Native PHY IP内核和Native PHY IP和ATX PLL IP内核仅动态地验证当前有效的设置档。例如:如果在Native PHY IP和ATX PLL IP Parameter Editor中存储一个含有错误信息的设置档,然后加载另一个不含任何错误信息的设置档,那么错误信息将会在IP中消失。您还是可以生成IP,但是生成将会失败。