AN 899: 通过Fast Preservation减少编译时间

ID 683493
日期 11/06/2019
Public

1.4.1. 步骤1: 创建设计分区

要导出并重复使用根分区,首先为需要进一步优化的故障u_blinking_led_i3u_blinking_led_i4块创建保留内核分区。
  1. Intel® Quartus® Prime Pro Edition软件中,点击File > Open Project并打开/tutorial_base/top.qpf工程文件。
  2. 点击Processing > Start > Start Analysis & Elaboration
  3. 在Project Navigator中,从Hierarchy选项卡展开u_blinking_led_top实例。
  4. 右键点击u_blinking_led_i3实例,指向Design Partition,并选择Reserved Core分区Type。设计分区的图标将显示于您分配的每个实例旁。
    图 12. 创建设计分区
  5. 重复步骤4,创建u_blinking_led_i4实例的分区。导出其余实例作为根分区的一部分。
  6. 如果Design Partitions Window尚未打开,请点击Assignments > Design Partitions Window。Design Partitions Window列出您定义的分区,以及Compiler自动为每个工程创建的根分区(|)。
    图 13. Design Partitions Window
    2
2 可重新安排Design Partitions Window中各栏的排列,以匹配教程中的布局。