Intel® Quartus® Prime Pro Edition用户指南: Timing Analyzer

ID 683243
日期 4/13/2020
Public

本文档可提供新的版本。客户应 单击此处 前往查看最新版本。

文档目录

2.2.5.5.1. 独占时钟组(Exclusive Clock Groups (-exclusive))

您可以使用-exclusive选项来声明两个时钟是互斥的。

如果对同一个节点定义多个时钟,那么可以使用时钟组约束(clock group assignments)和-exclusive选项将时钟声明为互斥的。此技术对于多路复用时钟很有用。

例如,考虑一个由100-MHz或者125-MHz时钟驱动的输入端口。您可以使用-exclusive选项来声明时钟是互斥的,并移除100-MHz与125-MHz时钟之间的时钟传输,如下图和示例SDC约束所示:

图 68. 内部时钟复用器到FPGA的同步路径

内部时钟复用器的SDC约束示例

# Create a clock on each port
create_clock -name clk_100 -period 10 [get_ports clkA]
create_clock -name clk_125 -period 8 [get_ports clkB] 
# Set the two clocks as exclusive clocks
set_clock_groups -exclusive -group {clk_100} -group {clk_125}
图 69. 外部时钟复用器到FPGA的同步路径

外部时钟复用器的SDC约束示例

# Create two clocks on the port clk
create_clock -name clkA -period 10 [get_ports clk]
create_clock -name clkB -period 8 [get_ports clk] -add
# Set the two clocks as exclusive clocks
set_clock_groups -exclusive -group {clkA} -group {clkB}