Intel® Quartus® Prime Pro Edition用户指南: Timing Analyzer

ID 683243
日期 4/13/2020
Public

本文档可提供新的版本。客户应 单击此处 前往查看最新版本。

文档目录

2.2.4.2. 实体绑定的约束示例(Entity-bound Constraint Examples)

以下示例显示了实体绑定约束的自动和手动范围。
图 60. 自动范围示例(Automatic Scope Example)

下表列出了约束的自动范围,应用于图 60

表 14.  Automatic Constraint Scoping示例
约束示例(Constraint Example) 实例X|Y的Auto-Scope约束解释
set_false_path -from [get_keepers a] set_false_path -from [get_keepers X|Y|a]
set_false_path -from [get_registers a] -to “*” set_false_path -from [get_registers X|Y|a]
set_false_path –from [get_clocks clk_1] –to [get_clocks clk_2] set_false_path –from [get_clocks clk_1] –to [get_clocks clk_2]
set_max_delay –from [get_ports in] -to [get_registers A] 2.0 set_max_delay –from [get_ports in] -to [get_registers X|Y|A] 2.0
get_ports *

get_ports *

get_clocks *

get_clocks *

get_ports a

get_ports a

get_clocks a

get_clocks a

注: 在表中,get_ports aget_clocks a是简单示例,对集合过滤器使用一个任意名称。这些示例显示了get_portsget_clocks的集合过滤器不受自动约束作用域(automatic constraint scoping)的限制,因为端口和时钟是全局的顶层目标,从不在一个实例的范围内。
图 61. 手动范围示例(Manual Scope Example)

下表列出了约束的手动范围,应用于图 61

表 15.  手动范围约束示例(Manual Scope Constraint Examples)
约束示例(Constraint Example) 手动范围约束解释(Manual Scope Constraint Interpretation)
set_false_path –from [get_current_instance] |d\
     –to [get_current_instance]|e
set_false_path –from i1|inner|d –to i1|inner|e
set_false_path –from i2|inner|d –to i2|inner|e \
set_false_path –from i3|d –to i3|e
create_generated_clock –divide_by 2 –source \
     [get_ports inclk] –name \
     [get_current_instance]_divclk \
     [get_current_instance]|div 
set_multicycle_path –from [get_current_instance]|a\
     –to [get_current_instance]|b 2
create_generated_clock –divide_by 2 –source \
     [get_ports inclk] –name “i1_divclk” i1|div
set_multicycle_path –from i1|a –to i1|b 2 \
create_generated_clock –divide_by 2 –source \
     [get_ports inclk] –name “i2_divclk” i2|div
set_multicycle_path –from i2|a –to i2|b 2