Intel® Quartus® Prime Pro Edition用户指南: Timing Analyzer

ID 683243
日期 4/13/2020
Public

本文档可提供新的版本。客户应 单击此处 前往查看最新版本。

文档目录

2.2.1.2. Derive PLL Clocks (derive_pll_clocks)

Derive PLL Clocks (derive_pll_clocks)约束自动对设计中任意PLL的每个输出创建时钟。

注: 只有 Intel® Arria® 10 Intel® Cyclone® 10 GX器件支持Derive PLL Clocks (derive_pll_clocks)约束。对于所有其他支持的器件,Timing Analyzer自动从绑定到相关IP的约束中获取PLL时钟。

如果PLL使用时钟切换,那么约束可以对每个输出时钟管脚生成多个时钟:inclk [0]输入时钟管脚的一个时钟,inclk [1]输入时钟管脚的一个时钟。指定Create base clocks (-create_base_clocks)选项,默认情况下在PLL的输入上创建基本时钟。默认情况下,时钟名称与输出时钟管脚名称相同,或指定Use net name as clock name (-use_net_name)选项以使用网络名称。

create_clock -period 10.0 -name fpga_sys_clk [get_ports fpga_sys_clk] \
	derive_pll_clocks

创建PLL时,必须定义每个PLL输出的配置。此定义使Timing Analyzer能够使用derive_pll_clocks命令自动约束PLL。此命令还限制收发器时钟并在LVDS SERDES和用户逻辑之间添加多个周期。

derive_pll_clocks命令打印Info消息以显示命令创建的每个生成的时钟。

作为derive_pll_clocks的替代,您可以复制每个create_generated_clock assignment并粘贴到.sdc文件。然而,如果随后修改PLL设置,还必须在.sdc文件中更改生成的时钟约束。此类更改的示例包括修改现有输出时钟,添加新的PLL输出或更改PLL的层次结构。derive_pll_clocks的使用可以消除这一要求。