Intel® Quartus® Prime Pro Edition用户指南: Timing Analyzer

ID 683243
日期 4/13/2020
Public

本文档可提供新的版本。客户应 单击此处 前往查看最新版本。

文档目录

2.3.2. 集合命令(Collection Commands)

Timing Analyzer支持收集命令,可以轻松访问设计中的端口,管脚,单元或节点。使用包含Timing Analyzer中指定的任何约束或Tcl命令的集合命令。

表 25.  集合命令(Collection Commands)
命令 返回的集合
all_clocks 设计中的所有时钟
all_inputs 设计中的所有输入端口。
all_outputs 设计中的所有输出端口。
all_registers 设计中的所有寄存器。
get_cells 设计中的单元。集合中的所有单元名称都与指定的模式匹配。通配符可用于同时选择多个单元。
get_clocks 列出设计中的时钟。当用作另一个命令的参数时,例如set_multicycle_path-from-to ,时钟中的每个节点代表被集合中的时钟clcok的所有节点。默认使用特定节点(即使节点是一个时钟)作为命令的目标。
get_nets 设计中的网络(net)。集合中的所有网络名称都与指定的模式匹配。通配符可用于同时选择多个网络。
get_pins 设计中的管脚。集合中的所有管脚名称都与指定的模式匹配。通配符可用于同时选择多个管脚。
get_ports 设计中的所有端口(设计输入和输出)。

您也可以通过在Timing Analyzer中点击View菜单中的Name Finder来检验集合并使用通配符对集合进行实验。