Intel® Quartus® Prime Pro Edition用户指南: Timing Analyzer

ID 683243
日期 4/13/2020
Public

本文档可提供新的版本。客户应 单击此处 前往查看最新版本。

文档目录

2.2.5.3.2. 时钟多路复用器示例

时钟多路复用器(mux)的输出是生成时钟的一种形式。 每个输入时钟需要一个输出上的生成时钟。以下.sdc示例还包括set_clock_groups命令,指示在设计中两个生成的时钟永远不会同时处于活动状态。因此,Timing Analyzer不会分析时钟多路复用器的输出上的生成时钟之间的跨域路径(cross-domain path)。
图 66. 时钟多路复用器(Clock Mux)
create_clock -name clock_a -period 10 [get_ports clk_a]
create_clock -name clock_b -period 10 [get_ports clk_b]
create_generated_clock -name clock_a_mux -source [get_ports clk_a] \
	[get_pins clk_mux|mux_out]
create_generated_clock -name clock_b_mux -source [get_ports clk_b] \
	[get_pins clk_mux|mux_out] -add
set_clock_groups -exclusive -group clock_a_mux -group clock_b_mux