Intel® Quartus® Prime Pro Edition用户指南: Timing Analyzer

ID 683243
日期 4/13/2020
Public

本文档可提供新的版本。客户应 单击此处 前往查看最新版本。

文档目录

2.2.5.3.1. 时钟分频器示例(-divide_by)

生成时钟的常见形式是二分频寄存器时钟分频器(divide-by-two register clock divider)。以下示例约束在二分频(divide-by-two)寄存器上创建一个半速率时钟。
create_clock -period 10 -name clk_sys [get_ports clk_sys]
create_generated_clock -name clk_div_2 -divide_by 2 -source \
    [get_ports clk_sys] [get_pins reg|q]

将寄存器的时钟管脚指定为时钟源:

create_clock -period 10 -name clk_sys [get_ports clk_sys]
create_generated_clock -name clk_div_2 -divide_by 2 -source \
    [get_pins reg|clk] [get_pins reg|q]
图 64. 时钟分频器(Clock Divider)
图 65. 时钟分频器波形(Clock Divider Waveform)