Intel® Quartus® Prime Pro Edition用户指南: Timing Analyzer

ID 683243
日期 4/13/2020
Public

本文档可提供新的版本。客户应 单击此处 前往查看最新版本。

文档目录

2.2. 使用时序约束

以下部分描述了SDC时序约束的正确应用,这些约束可指导Fitter布局并支持精确的时序分析。您可以使用一组初始建议的约束来创建一个.sdc文件,然后随着设计的不断进展迭代地修改这些约束。