Release Notes For ModelSim Intel FPGA 10.6c Jul 25 2017 Copyright 1991-2017 Mentor Graphics Corporation All rights reserved. This document contains information that is proprietary to Mentor Graphics Corporation. The original recipient of this document may duplicate this document in whole or in part for internal business purposes only, provided that this entire notice appears in all copies. In duplicating any part of this document the recipient agrees to make every reasonable effort to prevent the unauthorized use and distribution of the proprietary information. TRADEMARKS: The trademarks, logos and service marks ("Marks") used herein are the property of Mentor Graphics Corporation or other third parties. No one is permitted to use these Marks without the prior written consent of Mentor Graphics or the respective third-party owner. The use herein of a third-party Mark is not an attempt to indicate Mentor Graphics as a source of a product, but is intended to indicate a product from, or associated with, a particular third party. The following are trademarks of of Mentor Graphics Corporation: Questa, ModelSim, JobSpy, and Signal Spy. A current list of Mentor Graphics trademarks may be viewed at www.mentor.com/terms_conditions/trademarks.cfm. End-User License Agreement: You can print a copy of the End-User License Agreement from: www.mentor.com/terms_conditions/enduser.cfm. _______________________________________________________________________ * How to Get Support ModelSim Intel FPGA is supported by Intel + World-Wide-Web Support [1]http://www.altera.com/mySupport _______________________________________________________________________ Index to Release Notes * [2]Key Information * [3]Release Announcements in 10.6c * [4]Base Product Specifications in 10.6c * [5]Compatibility Issues with Release 10.6c * [6]General Defects Repaired in 10.6c * [7]User Interface Defects Repaired in 10.6c * [8]SystemVerilog Defects Repaired in 10.6c * [9]SystemC Defects Repaired in 10.6c * [10]Document Revision History in 10.6c _______________________________________________________________________ Key Information * The -novopt command line switch will be deprecated in the next major release 10.7 following normal deprecation process: + The -novopt switch will be accepted in 10.7 with a deprecation warning message. + In 10.8 or a subsequent release, the -novopt switch will be disabled and cause error messages to be emitted. + Customer scripts using this switch will have to be changed. + This legacy switch forces incremental mode (pre-6.0 behavior) which is sub-optimal, and it is no longer maintained. The following lists the supported platforms: + win32aloem - Windows 7, Windows 8.1, Windows 10 + linuxaloem - RedHat Enterprise Linux 6, SUSE Linux Enterprise Server 11 _______________________________________________________________________ Release Announcements in 10.6c * There is no licensing change between 10.5 and 10.6. However, if you are migrating to 10.6 from a release like 10.4 and older, please note that release 10.6 uses FLEXnet v11.13.1.2 server, v11.13.0.2 client. For floating licenses, it will be necessary to verify that the vendor daemon (i.e., mgcld) and the license server (i.e., lmgrd) have FLEXnet versions equal to or greater than 11.13.0.2. If the current FLEXnet version of your vendor daemon and lmgrd are less than 11.13.0.2 then it will be necessary to stop your license server and restart it using the vendor daemon and lmgrd contained in this release. If you use node locked licenses you don't need to do anything. This release will update licensing to MSL v2015_1_patch2 with MGLS v9.13_5.4 and PCLS v9.13.5.2 In summary, this release uses the following license versions: + FLEXnet v11.13.1.2 server, v11.13.0.2 client + MSL v2015_1_patch2 + MGLS v9.13_5.4 + PCLS v9.13.5.2 + Beginning with 10.6 release, support for Linux RHEL 5 x86/x86-64 and SLES 10 x86/x86_64 have discontinued. + Beginning with 10.6 release, gcc-4.3.3-linux/gcc4.3.3-linux_x86_64 GCC Compilers for SystemC have been discontinued. + Beginning with 10.6 release, support for Windows 8 series is limited to 8.1. Windows 8.0 has discontinued. + (source, results) The -novopt command line switch will be deprecated in the next major release 10.7 following normal deprecation process: o The -novopt switch will be accepted in 10.7 with a deprecation suppressible error message. o In 10.8 or a subsequent release, the -novopt switch will not be accepted by the tool and cause tool to exit with error message. o Customer scripts using this switch will have to be changed. This legacy switch forces incremental mode (pre-6.0 behavior) which is sub-optimal, and it is no longer maintained. o In 10.8 major release, support for windows 7 and windows 8.1 will be dropped. o Due to enhanced security restrictions with web browser PDF plug-ins, some links do not function. Links in HTML documentation are fully functional. Clicking a link within a PDF viewed in a web browser may result in no action, or it may load the title page of the current PDF manual (instead of the intended target in the PDF manual). The unresolved link behavior occurs in all web browsers on Windows and Linux platforms. Because of this behavior, the navigational experience of PDF manuals is compromised. PDF is ideal for printing because of its page-oriented layout. Use the HTML manuals to search for topics, navigate between topics, and click links to examples, videos, reference material, and other related technical content. For information about Adobe's discontinued support of Adobe Reader on Linux platforms and your available options, refer to Knowledge Article MG596568 on SupportNet. Linux is a registered trademark of Linus Torvalds in the U.S. and other countries. ______________________________________________________________ Base Product Specifications in 10.6c + [Supported Platforms] Linux RHEL 6 x86/x86-64 Linux RHEL 7 x86/x86-64 Linux SLES 11 x86/x86-64 Linux SLES 12 x86/x86-64 Windows 7 x86/x64 Windows 8.1 x86/x64 Windows 10 x86/x64 [Supported GCC Compilers (for SystemC)] gcc-5.3.0-linux/gcc-5.3.0-linux_x86_64 gcc-4.7.4-linux/gcc-4.7.4-linux_x86_64 gcc-4.5.0-linux/gcc-4.5.0-linux_x86_64 gcc-4.2.1-mingw32vc12 [OVL (shipped with product)] v2.8.1 [VHDL OSVVM (shipped with product)] v2014.07 [Licensing] FLEXnet v11.13.1.2 server, v11.13.0.2 client MSL v2015_1_patch2 MGLS v9.13_5.4 PCLS v9.13.5.2 ___________________________________________________________________ Compatibility Issues with Release 10.6c SystemVerilog Compatibility * dvt32206 - (results) $nochange timing check did not work properly in all cases. Release Announcements Compatibility * [nodvtid] - (source, results) The -novopt command line switch will be deprecated in the next major release 10.7 following normal deprecation process: + The -novopt switch will be accepted in 10.7 with a deprecation suppressible error message. + In 10.8 or a subsequent release, the -novopt switch will not be accepted by the tool and cause tool to exit with error message. + Customer scripts using this switch will have to be changed. This legacy switch forces incremental mode (pre-6.0 behavior) which is sub-optimal, and it is no longer maintained. ___________________________________________________________________ General Defects Repaired in 10.6c * dvt99930 - Transaction recording can crash the simulator when a child transaction ends after it's parent transaction has ended. The crash has been fix. (Note: this ordering of $transaction calls is not technically correct; child transactions should be ended before their parent.) _______________________________________________________________________ User Interface Defects Repaired in 10.6c * dvt100029 - The "when" command fails to trigger sometimes with some expressions containing nets, vector nets, and multi-dimensional nets. This issue has been resolved. * dvt100318 - The $argv and $argc variables giving access to the command line arguments is not available in -batch mode. This issue has been resolved. * dvt70665 - Questa & ModelSim fail to run if installed in a path containing spaces. This issue is now resolved. * dvt101418 - The internal "ls" command in the vsim gui behaves incorrectly when no arguments are given. This command is only used on Windows, when there is no external "ls" command found. This issue has been resolved. _______________________________________________________________________ SystemVerilog Defects Repaired in 10.6c * dvt32206 - (results) $nochange timing check did not work properly in all cases. _______________________________________________________________________ SystemC Defects Repaired in 10.6c * dvt99466 - While trying to print the vsim-6577 error, for a sc_module, sc_port or a sc_prim_channel global or static SystemC object that is being destroyed at exit time, vsim might crash in some odd scenarios. This issue is now fixed. vsim will report a vsim-6522 warning instead and exit gracefully. _______________________________________________________________________ Document Revision History in 10.6c * Revision - Changes - Status/Date + 2.2 - Modifications to improve the readability and comprehension of the content. Approved by Tim Peeke. All technical enhancements, changes, and fixes are listed in this document for all products in this release. Approved by Bryan Ramirez. - Released/July 2017 + 2.1 - Modifications to improve the readability and comprehension of the content. Approved by Tim Peeke. All technical enhancements, changes, and fixes are listed in this document for all products in this release. Approved by Bryan Ramirez. - Released/May 2017 * Author: In-house procedures and working practices require multiple authors for documents. All associated authors for each topic within this document are tracked within the document source. * Revision History: Released documents maintain a revision history of up to four revisions. For earlier revision history, refer to earlier releases of documentation which are available on Support Center (http://support.mentor.com).